报价
HOME
报价
正文内容
3ds系统nand 半导体先进封装专题报告:枕戈待旦,蓄势待发!
发布时间 : 2024-10-10
作者 : 小编
访问数量 : 23
扫码分享至微信

半导体先进封装专题报告:枕戈待旦,蓄势待发!

(报告出品方/作者:天风证券,朱晔、张钰莹)

封装行业: 中国半导体强势环节,先进封装正逢其时

封测(封装测试):半导体产业链不可或缺的环节

封测是半导体产业链重要一环:集成电路产业链可以分为IC设计、晶圆制造(也称前道工艺)、封装测试(也称后道工艺) 三个核心环节,以及EDA/IP、半导体设备、半导体材料等三个支撑环节。集成电路封装测试是集成电路产业链中不可或缺的 环节,一直伴随着集成电路芯片技术的不断发展而变化。

封装主要是指安装集成电路芯片外壳的过程:包括将制备合格的芯片、元件等装配到载体上,采用适当的连接技术形成电气 连接,安装外壳,构成有效组件的整个过程。安装集成电路芯片(元件)的外壳时,可以采用塑料、金属、陶瓷、玻璃等材 料,通过特定的工艺将芯片(元件)包封起来,使得集成电路在工作环境和条件下能稳定、可靠地工作。

半导体封装主要有机械保护、电气连接、机械连接和散热四个作用:半导体封装的主要作用是通过将芯片和器件密封在环氧 树脂模塑料(EMC)等封装材料中,保护它们免受物理性和化学性损坏。随着芯片技术的发展,封装又有了新的作用,如功 能集成和系统测试等。

先进封装 vs. 传统封装

封装技术分为传统封装和先进封装:业界以是否采用焊线来区分,两种技术之间不存在明确的替代关系;传统封装具有性价 比高、产品通用性强、使用成本低、应用领域广的优点。

传统封装:主要是指先将晶圆切割成单个芯片再进行封装的工艺,利用引线框架作为载体,采用引线键合互连的形式进行封 装,主要包括DIP、SOP、SOT、TO、QFP等封装形式。

先进封装:主要是采用键合互连并利用封装基板来实现的封装技术,应用先进的设计思路和先进的集成工艺,对芯片进行封 装级重构,并且能有效提升系统高功能密度的封装,主要包括倒装芯片(FlipChip,FC)封装、晶圆级封装(Wafer Level Package,WLP)、2.5D封装、3D封装等。

先进封装:产业规模持续扩大,全球先进封装2026年或达482亿美元

全球封测产业市场规模:根据集微咨询预测,2022年全球封装测试市场规模为815亿美元左右,汽车电子、人工智能、数据 中心等应用领域的快速发展将推动全球封测市场持续高走,预计到2026年将达到961亿美元。

传统封装市场规模:汽车、消费电子、工业应用中大量的模拟芯片、功率器件、分立器件、MCU等核心芯片对于小型化和高 度集成化的要求较低,对于可靠性和稳定性的要求较高;因此这些关键终端领域将在未来较长时间内仍将延续这一趋势。根 据Yole统计,2022年,全球传统封装市场规模约为430亿美元,传统封装市场规模仍大于先进封装市场规模,并且在2021- 2026年的CAGR=2.3%,保持稳定增长。

全球半导体产业链向国内转移,封测产业成为中国半导体强势环节

中国封测产业市场规模:2022年中国封测产业规模小幅增长,达到2995亿元;需求端5G、HPC、汽车电子等新兴应用蓬勃发 展,为封测行业持续成长注入动力,根据中国半导体协会与集微咨询的预测,2026年中国封测市场规模将达到3248.4亿元。

中国封测产业市场结构:随着5G、高端消费电子、人工智能等新应用发展以及现有产品向SiP、WLP等先进封装技术转换,先 进封装市场需求维持了较高速度的增长。国内封测企业主要投资都集中在先进封装领域,带动产值快速提升,根据集微咨询 的预测,2023年,中国先进封装产值将达到1330亿元,约占总封装市场的39%。

先进封装四要素: RDL、TSV、Bump、Wafer

先进封装主要由四要素组成:RDL(再布线)、TSV(硅通孔)、Bump(凸块)、Wafer(晶圆),具备其中任意一个要素都 可以称为先进封装;其中在先进封装的四要素中,RDL起着XY平面电气延伸的作用,TSV起着Z轴电气延伸的作用,Bump起着 界面互联和应力缓冲的作用,Wafer则作为集成电路的载体以及RDL和TSV的介质和载体。

先进封装是相对概念,具有以下特点:1)封装集成度高,封装体积小;2)内部互联短,系统性能得到提升;3)单位体积内 集成更多功能单元,有效提升系统功能密度。

Bump(凸块)

Bump是一种金属凸点:从倒装焊FlipChip出现就开始普遍应用了,Bump的形状也有多种,最常见的为球状和柱状,也有块 状等其他形状。Bump起着界面之间的电气互联和应力缓冲的作用,从Bondwire工艺发展到FlipChip工艺的过程中,Bump起 到了至关重要的作用。

随着工艺技术发展,Bump尺寸越来越小:Bump的发展趋势是尺寸不断缩小,从球栅阵列焊球(BGA ball),其直径范围通 常在0.25-0.76mm,到倒装凸点(FC Bump),也被称为可控塌陷芯片焊点(C4 solder joint),其直径范围通常在100- 150μm,再到微凸点(micro bump),其直径可小至2μm。

RDL(再布线)

RDL(再布线):旨在通过添加额外的金属层,对晶圆上已经形成的键合焊盘进行重新排列;利用重新分配层封装工艺,在 晶圆原本焊盘上形成新焊盘,以承载额外的金属引线。XY平面电气延伸和互联,在芯片设计和制造时,IOPad一般分布在芯 片的边沿或者四周,不适用于FlipChip;因此RDL在晶圆表面沉积金属层和相应介质层,并形成金属布线,对IO端口进行重新 布局,将其布局到新的,占位更为宽松的区域,并形成阵列排布。

RDL工艺工序:在重新分配层工艺中,首先通过溅射工艺创建一层金属薄膜,之后在金属薄膜上涂覆厚层光刻胶。随后利用 光刻工艺绘制电路图案,在电路图案的曝光区域电镀金层,以形成金属引线。由于重新分配工艺本身就是重建焊盘的工艺, 因此确保引线键合强度是十分重要的。这也正是被广泛用于引线键合的材料—金,被用于电镀的原因。

Wafer(晶圆)

晶圆承载系统工艺:是指针对晶圆背面减薄进行进一步加工的系统,该工艺一般在背面研磨前使用。晶圆承载系统工序涉及 两个步骤:首先是载片键合,需将被用于硅通孔封装的晶圆贴附于载片上;其次是载片脱粘,即在如晶圆背面凸点制作等流 程完工后,将载片分离。 晶圆边缘切筋工艺:对于采用硅通孔工艺封装的晶圆,在其进行载片键合前,应先对晶圆正面边缘进行切筋并去除修剪部分。

TSV(硅通孔)

Via-middle(中通孔)封装工艺:首先在晶圆制造过程中形成通孔,随后在封装过程中,于晶圆正面形成焊接凸点。之后将 晶圆贴附在晶圆载片上并进行背面研磨,在晶圆背面形成凸点后,将晶圆切割成独立芯片单元,并进行堆叠。

中通孔基本工序:首先在晶圆上制作晶体管,随后使用硬掩模在硅通孔形成区域绘制电路图案,之后利用干刻蚀工艺去除未 覆盖硬掩膜的区域,形成深槽;再利用CVD工艺制备绝缘膜(用于隔绝填入槽中的铜等金属物质,防止硅片被金属物质污 染);此外绝缘层上还将制备一层金属薄层(将被用于电镀铜层)作为屏障;电镀完成后,采用CMP技术使晶圆表面保持平 滑,同时清除其表面铜基材,确保铜基材只留在沟槽中。

晶圆级封装: Fan-in & Fan-out & 技术延展

晶圆级封装:基本介绍

WLP(晶圆级封装):晶圆级封装和传统封装不同,在封装过程中大部分工艺都是对晶圆进行操作,即在晶圆上进行整体封 装,封装完成后再进行切割分片;WLP分为Fan-in(扇入型)和Fan-out(扇出型),都采用了将锡球(I/O端子)直接连接 到芯片上的封装方法,而无需基板等媒体。

晶圆级封装优势:1)封装尺寸小,几乎等于芯片尺寸;2)高传输速度,高效能表现;3)高密度连接,提高单位面积连接密 度;4)生产周期短,WLP从芯片制造到成品的中间环节大大减少,生产效率高;5)工艺成本低,WLP在硅片层面上完成封 装测试,以批量化生产方式达到成本最小化目标。

晶圆级封装:预计2026年市场规模或将超30亿元

WLCSP封装:WLCSP封装在智能手机和可穿戴设备等通信和消费类应用的明显的增长趋势;根据Yole数据,随着WLCSP封装 规模增加,2022-2026年WLCSP封装的CAGR约为4.7%,预计2026年市场规模或将超30亿元。

扇出型封装:根据Yole预计,扇出型封装(晶圆和面板)的2022-2026年CAGR预计为12.0%,主要原因是台积电的InFO成功打 入苹果供应链。到2026年,整体扇出封装市场预计将达到35亿美元。

晶圆级封装分类:Fan-in & Fan-out

Fan-in(扇入型):封装布线、绝缘层和锡球直接位于晶圆顶部,封装尺寸与芯片尺寸相同;由于锡球直接固定在芯片上, 无需基板等媒介,电气传输路径相对较短,电气特性得到改善;工艺成本较低,主要应用于面积较小、引脚数量少的芯片; 但因采用硅芯片作为封装外壳,物理和化学防护性能较弱,连接封装与PCB基板的锡球会承受更大应力,削弱可靠性。

Fan-out(扇出型):随着IC工艺提升,芯片面积缩小,芯片面积内无法容纳足够的引脚数量,因此衍生出Fan-OutWLP封装 形态,实现在芯片面积范围外充分利用RDL连接,以获取更多的引脚数;由于要将RDL和Bump引出到裸芯片的外围,因此需 要先进行裸芯片晶圆的划片分割,然后将独立的裸芯片重新配置到晶圆工艺中,并以此为基础,通过批量处理、金属化布线 互连,形成最终封装。

2.5D/3D封装

2.5D/3D封装工艺

基于Z轴延伸的封装技术:主要是通过TSV进行信号延伸和互连,TSV可分为2.5DTSV和3DTSV,通过TSV技术,可以将多个芯 片进行垂直堆叠并互连;TSV技术是三维封装的关键技术,但RDL是不可或缺的,如果上下层芯片TSV无法对齐时,就需要通 过RDL进行局部互联。

2.5D封装:集成密度超过2D但达不到3D,先进封装领域特指采用了中介层(interposer)集成方式,中介层目前多采用硅材 料(成熟工艺和高密度互连特性);高密度互联时,TSV几乎是不可缺少的,中介层TSV被称为2.5TSV。

3D封装:指芯片通过TSV直接进行高密度互连,芯片上直接生产的TSV被称为3DTSV。3DTSV优势:芯片相互靠得很近,延 迟会更少,此外互连长度的缩短,能减少相关寄生效应,使器件以更高频率运行,从而转化为性能改进,并更大程度的降低 成本。

3D封装市场规模

3D封装:3D堆叠被主要用于HBM(High Bandwidth Memory)、NAND和核心SoC的晶圆堆叠封装技术。据yole统计,2022- 2026年HBM、3DS和3D NAND的CAGR分别为48%、27%和82%;存储市场的快速增长将带来3D晶圆级堆叠封装市场的较大拉 升,3D堆叠预计2022-2026年的CAGR为21.7%。

SiP封装:5G大数据、数据连接、传感、成像和高性能计算等应用场景对芯片性能、电性能和热性能提出了更高的要求,并且 由于终端体积缩小,对芯片封装的体积也要求更薄/更小,推动了SiP在移动便携设备、游戏中台和数据服务器方面的快速增 长。

报告节选:

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。未来智库 - 官方网站

算力需求井喷式累加促进HBM市场容量不断扩大

  算力需求井喷式累加供应紧张促进HBM市场容量不断扩大。依据TrendForce,现阶段高 端AI网络服务器GPU配备HBM已是流行,预计2023年全世界HBM需要量将增长60%,做到 2.9亿GB,而且2024年将进一步提高30%。而和HBM旺盛需求形成了鲜明的对比是指,2023 年遭受AI要求井喷式增长导致客户的事先追单,就算存放厂扩大产能但仍然不能完全 以客户为中心,2023年HBM供需比为-2.4%。需求量很高下HBM价格正持续增长,根 据《科创板日报》引用BusinessKorea报导,HBM价格是今年年中就已经达到了约15 美金/GB,是DRAM新产品的5-6倍;并且根据TrendForce预测分析,尽管同一HBM新产品的 均值销售单价会不断下降,但迭代的HBM3和3E市场价格明显高于HBM2,预计HBM 整体平均销售单价将于24年保持一样水准。 量价齐升环境下,以平均售价15/20/25美元计算,2022-2024年全世界HBM销售市场有希望 从扩大到56.55/75.40/94.25亿美金,2022-2024年CAGR大约为44.2%。

  HBM3做为迭代更新技术性,销售市场比例预计不断发展。依据TrendForce,2022年HBM2E 和HBM3的行业比例分别是70%和8%,2023年HBM流行要求从HBM2E相继转为 HBM3,要求比例预估分别为50%和39%。不过随着2024年应用HBM3的快速处理芯片相继 放量上涨,且得益于其更高均值销售单价,预估2024年HBM市场的需求将大幅转到HBM3, 比例预计能够达到60%。

  从行业格局来说,HBM市场的核心参加者为存放厂,在其中sk海力士现如今市场占有率最大。 现阶段HBM市场的核心参加者为SKsk海力士(SK hynix)、三星(Samsung)和美光科技 (Micron),依据TrendForce统计分析,2022年三家存放厂市场占比分别是50%、40% 和10%。现阶段SKsk海力士(SK hynix)HBM3商品比较领跑,是NVIDIA Server GPU 的核心供应商;三星则主要达到别的终端服务商家订单,在用户追单下,在今年的与 SKsk海力士的市场占有率差别会急剧缩减,预估2023~2024年这几家存放工厂的HBM市场占有率 可能差不多,总计拥HBM销售市场约95%的市场占有率,仅仅在顾客构成层面、一季度交货体验上 或者有依次。美光科技即在在今年的公布舍弃HBM3的开发,专注于开发设计HBM3E商品,相比前两 家韩厂大幅度提产的计划,预估2022-2023年美光科技的市场占有率会受到挤兑效用而稍为下降。

  为顺应AI网络加速器处理芯片要求演变,存放厂正积极拓展下一代HBM技术性。HBM2E技术层面, 三家都已经有完善解决方案给予:俩家韩范存放厂sk海力士和三星已经完成HBM3技术性 开发设计,正在为NVIDIA H100/H800及其AMD的MI300系列产品供货HBM,美系存放厂美光科技 就选择绕过HBM3,立即开发设计HBM3E。在HBM3E技术层面,依据TrendForce 11月的 公布,美光科技已于今年7月底给予8hi(24GB)NVIDIA试品、sk海力士已于今年8月中提 供8hi(24GB)试品、三星则在今年10月初给予8hi(24GB)试品。因为HBM认证 全过程繁杂,预估用时两个季度,因而更快在2023年底有望获得一部分厂家的HBM3E验 证结论,三大存放厂均预计于2024年第一季进行认证。更为先进的HBM4 12hi(12 层)商品将在2026年发布,而16hi(16层)商品则预计于2027年面世;HBM4也将 初次见到HBM最底层Logic die选用12nm制造wafer,这也就意味着单珠HBM商品需 需要结合晶圆代工厂与存放工厂的协作。

  美国对中国处理芯片出口管制进一步加强,AI芯片国产化是大趋势。2023年10月17日, 美国财政部工业和监督局升级了“优秀计算芯片和半导体设备机器设备出口限制标准”, 进一步加严对人工智能有关处理芯片、半导体设备机器的对华出口限定。本次限令寓意 英伟达显卡本来所提供的“阉割版”AI处理芯片A800和H800芯片都将遭受管控,势必会对在我国 的AI技术应用、大数据中心及其大数据处理等行业造成影响,减缓在我国算力的发展趋势。虽然没有 少国内相关生产商(如腾讯官方、百度等)都已提前准备处理芯片压货来面对本次监管的升 级,但是要想永久性处理供应问题,仅有发展与拥有自己算率处理芯片技术和产品,现阶 段AI芯片国产化已势在必行。

  对于国内AI芯片短缺、大模型落地难等挑战,华为公司上线了昇腾测算产业链定义, 旨在推动中国AI产业快速发展。2018年10月,华为企业在全联接会议上首次亮相了昇腾 系列产品AI处理芯片,公布华为公司AI发展战略进入新阶段;2019年8月,华为公司正式公布昇腾910处理器 和全维度计算框架MindSpore,标示其已经完成全维度AI解决方案基本搭建;2020 年9月,伴随着第一届昇腾测算产业链高峰会顺利开展,官方宣布迈进昇腾测算产业链新时期。 昇腾测算工业是根据昇腾系列产品处理器和基本软件构建的全栈开发Al测算基础设施建设、领域 应用及服务项目,包含昇腾系列产品Cpu、系列产品硬件配置、CANN(异构计算架构设计)、Al测算框 架、运用也就能、开发环境链、应用领域与服务等产业链。华为公司昇腾测算产业链遵照 “一平台双驱动”体系,通过建立昇腾基本硬件软件服务平台,各自支撑点AI技术生态和AI 商业生态,让技术生态赋能产业生态系统,产业生态系统牵引技术发展,进而一同推动人力 智能化产业发展。

  昇腾系列产品处理器是昇腾测算产业算率底座。处理芯片是算力的关键服务提供者,都是高性 能测算能够实现的硬件环境。华为公司昇腾系列产品AI处理芯片主要包含昇腾310和昇腾910,均 选用达芬奇14架构设计,具有高算力、低能耗、架构设计灵便可裁切的特点。昇腾310的整数金额精 度算力(INT8)可以达到16TOPS,功能损耗仅是8W,广泛应用于工业物联网、模型推理和手机端设施等低能耗行业;昇腾910整数金额精密度算率(INT8)可以达到640TOPS,特性水准 贴近英伟达显卡A100,功能损耗大约为310W,广泛应用于AI模型推理等高算力情景。

  紧紧围绕昇腾系列产品Cpu,华为公司打造了丰富多彩硬件产品架构,能够满足全维度产业应用。 根据昇腾Cpu,华为公司上线了内嵌式AI模块、AI加速卡、AI网络服务器、AI集群式智能化边 缘等一系列智能硬件产品,总体目标打造出面对“端、边、云”的全场景AI基础设施建设计划方案,遮盖深 度学科领域推理和练习全过程。比如Atlas 800练习网络服务器搭载的是2颗Intel CPU 8颗 华为公司昇腾910Cpu,具有超强算率相对密度、快速服务器带宽等优点,广泛用于深层学 习模型开发学习训练,适用新型智慧城市、智能医疗、天文学探寻、油气田等都需要大算率 的业务领域;在华为全联接交流会2023上,根据昇腾Cpu又推出了全新升级架构昇腾 AI计算集群——Atlas 900 SuperCluster,由数千颗昇腾Cpu组成,根据华为公司集群式 通讯库和作业调度服务平台,融合HCCS、PCIe 4.0和100G RoCE三种高速接口,可支 持超万亿元主要参数大模型推理。

  整套的硬件软件管理体系支撑下,华为公司能够提供定制化的AI数据中心解决方法。不论是开 发算法平台,或是构建全套数据中心,华为公司都可以根据不同领域的客户需求,给予 包含全栈开发Al数据中心解决方法、云Al数据中心解决方法、轻量Al数据中心解决方法 以内的三种不同规模的AI数据中心解决方法,保证整套设备“落地式就可以交付使用”。 现阶段昇腾测算解决方法已广泛应用于无人驾驶、智慧园区、智能医疗、智能交通、语 音鉴别、自然语言理解等应用场景,结合实际造就了非常好的产业价值,有力保障了 中国Al训练及逻辑推理领域内的稳定发展。

  新的出口管制措施将加快国内AI芯片发展趋势。因为在美国打压下,中国公司可能面临 探寻取代经销商或自主开发代替品压力,管控提升很有可能将推动促进国内芯片产 业的技术进步与创新能力的增强,逐渐减轻国内厂商对外国技术性依靠的水平,有关 产业供应链进度非常值得密切关注。

  CoWoS生产能力受到限制是当前性能卓越GPU供应紧张的重要短板。HBM高焊层数(high pad count)和短布线长短规定必须CoWoS等2.5D先进封装技术性来达到PCB乃至封 装基板上无法实现的聚集、短链接。CoWoS是当前的主力封装工艺,可以以合理的 成本费给予最高互联相对密度和最大的封装尺寸,由于现在绝大多数HBM系统都封装形式在 CoWoS上,并且所有高端AI网络加速器都采用HBM,因而,绝大多数领跑的数据中心 GPU均由tsmc在CoWoS上封装形式。 伴随着英伟达显卡、AMD等公司的性能卓越GPU要求井喷式,tsmcCoWoS生产能力随着吃紧,根 据《科创板日报》报导,tsmc在6月公司股东常大会上确认因为AI订单需求突然增加,先 进封装形式要求远高于目前生产能力,空缺达到10-20%,且tsmcCoWoS公测供应不足的部 分订单信息已外流日月光、矽品与Amkor等封测厂。

  应对生产能力空缺,tsmc正积极提产为应对新一轮要求的浪潮。于今年Q2销售业绩法说会上, tsmc确定将积极扩大CoWoS生产能力,计划投资900亿人民币台币(约28.75亿美金)打造出位 于竹科铜罗园区优秀封装厂,预估2026年底办厂进行、2027年第三季逐渐批量生产, 月产量达11万片12英尺单晶硅片,包含SoIC、InFO及其CoWoS等先进封装新技术,与此同时 将下一年的CoWoS月生产效率提升迄今年2倍。而为应对下一年的CoWoS提产要求,台 积电都将一部分InFO封装形式生产能力从龙山产业园区挪到南科产业园区。依据SemiAnalysis预测分析,台 积电CoWoS有关生产能力将于23Q3不断扩大,并且在24年持续扩张,关键订单信息客户以及 仍然是英伟达显卡。

  2022年涉及到HBM和CoWoS-S的高品质封装形式市场容量合计约为5.72亿美金,预估2028 年期间增长到26.23亿人民币,CAGR大约为28.89%。依据Yole,高档封装工艺主要分2.5D 封装形式和3D封装,在其中2.5D封装形式包含UHD FO、注模中介公司层、硅桥、EMIB及其硅中介公司 层;3D封装形式包含HBM、3DS、3D NAND和3D SoC;Yole计算2022年全球高端封装形式 销售市场金额达22.1亿美金,2028年可以达到167亿美金,CAGR大约为40%。在其中,2022年全 球HBM封装形式和包括CoWoS-S的硅中介公司层封装形式市场容量各自可以达到4.81亿美金和0.91 亿美金,预估2028年分别增长至24.3亿美金和1.93亿美金,CAGR各自大约为31%和 13%。

  全球半导体封装设备销售市场将于2023年完成止跌,2024-2026年进到提高区段。依据 TechInsights,2023年全球半导体封装设备市场容量预计为43.45亿美金,同比减少 21.4%,较21年高些下降近34%;不过随着AI、大数据中心、大数据处理、5G,及新 处理工艺(混和引线键合和CSP封装尺寸封装形式)项目投资等多种因素推动,预估半导体封装机器设备 销售市场将于2024年完成强悍的反弹,到2026年市场容量有望突破创历史新高71亿美金, 2023-2026年CAGR达17.8%。

  从封装形式细分化机器设备来说,固晶机市场容量增速最快。半导体材料后整封装设备主要包含固 晶机、键合机、塑封机、电镀机、自动切割机等,在其中,固晶机的主要功能是将处理芯片(单晶硅片)与封装基板中间电力的节点(焊接)坚固联接,并实现电气连接接地和物理适用。固 晶机精准定位、持续贴片、温控的精度和稳定性将影响芯片散热效率和可靠 等性能主要指标,是处理芯片贴片阶段中最核心的机器。依据TechInsights,预估2025年全 球固晶机市场容量可以达到17.48亿美金,2020-2025年CAGR大约为13.0%,增速高于塑 封与电镀机(9.9%)和另一台(9.8%)。

  CoWoS为目前主流的AI集成电路芯片计划方案,HBM为在其中内存芯片的封装类型。CoWoS 是台积电的一种2.5D封装工艺,在其中“CoW”指处理芯片层叠; “WoS”就是将处理芯片层叠在基 板里。是指将处理芯片层叠下去,再封装形式于基板上,从而形成 2.5D的形态。

相关问答

3ds 破解怪物猎人xx联不了机,一联机就要更新怎么办?

又是被卖中文系统的JS坑的简单来说:1.刷回原版系统(中文系统无法升级)2.升级系统至最新(同时可能需要更新luma引导文件)3.怪猎需要打最新补丁这个...又...

 电子束焊接  健康服务 
王经理: 180-0000-0000(微信同号)
10086@qq.com
北京海淀区西三旗街道国际大厦08A座
©2024  上海羊羽卓进出口贸易有限公司  版权所有.All Rights Reserved.  |  程序由Z-BlogPHP强力驱动
网站首页
电话咨询
微信号

QQ

在线咨询真诚为您提供专业解答服务

热线

188-0000-0000
专属服务热线

微信

二维码扫一扫微信交流
顶部